crossplatform.ru

Здравствуйте, гость ( Вход | Регистрация )

3 страниц V   1 2 3 >  
Ответить в данную темуНачать новую тему
> Что мы хотим видеть в САПР, Системы Автоматического ПроектиРования
ЙаМайскЫйПчОЛ
  опции профиля:
сообщение 2.10.2008, 21:58
Сообщение #1


Участник
**

Группа: Участник
Сообщений: 154
Регистрация: 10.7.2008
Из: СПб
Пользователь №: 232

Спасибо сказали: 7 раз(а)




Репутация:   5  


Кто чем недоволен в существующих САПРах,
какими пользуется,
от каких блевать тошнит,
что хотим.?
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
Litkevich Yuriy
  опции профиля:
сообщение 2.10.2008, 22:24
Сообщение #2


разработчик РЭА
*******

Группа: Сомодератор
Сообщений: 9669
Регистрация: 9.1.2008
Из: Тюмень
Пользователь №: 64

Спасибо сказали: 807 раз(а)




Репутация:   94  


ну вопрос наверно только для меня, врядли кто-то здесь профессионально использует EDA (так правильнее).
1) Большенство софта позиционируется как EDA (Автоматизированная Разработка Электроники), на самом деле они представляют лишь системы проектирования печатных плат (ПП) будем называть их СПП, для краткости хотя термин такой отсутствует.
2) До сих пор в СПП не существует понятия проводящий слой/диэлектрический слой, во всяком случае из тех что я использовал.
3) следствие 2-го, Существуют два отдельных объекта в слое метализации:
* Полигон - который несчитается проводящим, хотя это полная ЕРЕСЬ
* CoperPour - медный полигон (P-CAD, в други примерно также) - т.е. проводящий.
Хотя должен быть просто полигон, т.к. в одном слое они буквально ни чем не отличаются на конечном продукте - ПП.

Т.е. должны быть понятия:
* слой-проводник, должны задаваться параметры:
** Удельное сопротивление материала (вспомогательный)
** Толщина слоя (Важный)
** Сопротивление квадрата (основной, но может вычислятся из предыдущих)
* слой-диэлектрик, должны задаваться параметры:
** Диэлектрическая пронинцаемость (основной)
** Толщина слоя (основной)
** Диэлектрическая прочность (Важный)

Должны быть примитивы рисования, доступные в любом(!) слое:
* Линия (точнее полилиния)
* Дуга
* Полигон
* Текст

Касание/пересечение любых объектов в слое-проводнике очначает электрическое соединение. Поведение полигона в этом слое в случае контакта с другими елементами должно соответствовать CoperPour.

Из используемых в разное время СПП, вменяемыми считаю только:
* OrCAD
* P-CAD
* CAD Star (Zuken япония)

Несколько на отшибе:
* Unigrafics
Очень не дешёвая версия, которого представляет более или мение полноценную систему сквозного проектирования. Т.е. буквально все, как донесла разведка, мой Ericson A3618 полность сделан в нем, и конструктив и схема и разводка ПП, причем автоматизированная.
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
ЙаМайскЫйПчОЛ
  опции профиля:
сообщение 2.10.2008, 22:40
Сообщение #3


Участник
**

Группа: Участник
Сообщений: 154
Регистрация: 10.7.2008
Из: СПб
Пользователь №: 232

Спасибо сказали: 7 раз(а)




Репутация:   5  


Litkevich Yuriy, Только не бей))) меня...
Я хочу проверку работы схемы, т.е. прохождение сигнала по схеме +
с учетом работы микроконтроллеров(возможность виртуальной прошивки контролеров))))
(с возможностью перегнать всю логику работы схемы в объектный код),
+ должны быть генераторы ()
+ полнофункциональный виртуальный тестер.
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
Litkevich Yuriy
  опции профиля:
сообщение 2.10.2008, 22:48
Сообщение #4


разработчик РЭА
*******

Группа: Сомодератор
Сообщений: 9669
Регистрация: 9.1.2008
Из: Тюмень
Пользователь №: 64

Спасибо сказали: 807 раз(а)




Репутация:   94  


тогда это не САПР, а моделирующая система.
Есть такой зверь называется Proteus, весьма не дурно! Я им баловался, если исходник на С, то при отладке показывает на какой строке находится.

А OrCAD и P-CAD этого не умеют, хоть у CADENSE есть куча продуктов и такие в том числе.
Есть и дармовой под линух/вин/мак, только название забыл, но глюкават, хотя начало полежено.
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
ЙаМайскЫйПчОЛ
  опции профиля:
сообщение 2.10.2008, 23:07
Сообщение #5


Участник
**

Группа: Участник
Сообщений: 154
Регистрация: 10.7.2008
Из: СПб
Пользователь №: 232

Спасибо сказали: 7 раз(а)




Репутация:   5  


В ОрКаде можно пускать сигнал по схеме, но мне нужна возможность эмуляции 100% каждого элимента схемы.
т.е. изначально и задумывается как стык 2-ух технологий САПР + интегрированный эмулятор.
Цитата(Litkevich Yuriy @ 2.10.2008, 23:24) *
2) До сих пор в СПП не существует понятия проводящий слой/диэлектрический слой, во всяком случае из тех что я использовал

Согласен, даже предварительную иерархию классов я накидывал от электрик - диэлектрик.

За протеус отдельное спасибо

Хотел бы поделиться по вопросу объективизации схемы...
Идея может и стара, но препод однажды выдал такое... эл. схема написана на языке эл. схем и я всего-лишь знаю его синтаксис, соответственно не должно возникнуть проблем в написании компилера для электрических схем) :wacko:
"Красная книга дракона" разработка компилятора.
Эт я чтобы не забыть...

Сообщение отредактировал ЙаМайскЫйПчОЛ - 2.10.2008, 23:09
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
Litkevich Yuriy
  опции профиля:
сообщение 2.10.2008, 23:24
Сообщение #6


разработчик РЭА
*******

Группа: Сомодератор
Сообщений: 9669
Регистрация: 9.1.2008
Из: Тюмень
Пользователь №: 64

Спасибо сказали: 807 раз(а)




Репутация:   94  


тебе нужно определится что ты собираешся делать. Вот из каих частей состоит прочесс разработки РЭА (порядок может менятся при разделении труда)
1) Эискизирование схем (помоему ни одним редактором схем не реализован)
2) Черчение схемы - редактор схем
3) Моделирование схем - моделирующая программа (OrCAD Probe или как там его, P-CAD Mixed-Signal Circuit Simulator, MicroCap - мой любимый)
4) Рзводка ПП - редактор ПП
5) Моделирование ПП - специализированное ПО (P-CAD Signal Integrity)
6) Разработка Прошивки
6.1) Микроконтроллера - Соответствующий компилер, возможно IDE (IAR)
6.2) ПЛИС, FPGA и т.д. - специализированная среда разработки и, как правило, моделирования (MAX-II)
7) финишное моделирование
7.1) Только схема и програмируемые элементы - Спциализированная Моедлирующе-симулирующая программа (Proteus)
7.2) Полное моделирование - Полузаказное ПО (у CADENSE есть всякие, ну а монстры пользуются заказным ПО)

Так что реши какие части ты хочешь охватить, придумай как они будут взаимодействовать. И лучше их делать как самостоятельное ПО, которое используя один подход/протокол/БД могут работать совместно.

Цитата(ЙаМайскЫйПчОЛ @ 3.10.2008, 3:07) *
написана на языке эл. схем
а точнее.
Есть для дискретных елементов, языки описания:
Verilog
AHDL
VHDL
Они используются при разработке дисретных схем, например, ПЛИС, FPGA ит.п.

Пример VHDL

library ieee;
use ieee.std_logic_1164.all;

entity test is
    port(
        Addr        : in std_logic_vector(3 downto 0);
        nRD            : in std_logic;
        nWR            : in std_logic;
        nCSW3100A    : out std_logic;
        nCSRAM        : out std_logic;
        F_CLK        : out std_logic;
        E_LATCH        : out std_logic;
        nCS_VM        : out std_logic
    );

ATTRIBUTE pin_numbers of test:ENTITY IS
"Addr(0):2 "
& "Addr(1):3 "
& "Addr(2):4 "
& "Addr(3):5 "
& "nRD:7 "
& "nWR:8 "
& "nCSW3100A:12 "
& "nCSRAM:13 "
& "F_CLK:15 "
& "E_LATCH:16 "
& "nCS_VM:17 ";
end test;

architecture arch_test of test is
begin
    --nCSRAM (0x0000 - 0x7fff) :
    process(Addr)
    begin
        if (Addr(3) = '0') then
            nCSRAM <= '0';
        else
            nCSRAM <= '1';
        end if;
    end process;

    -- F_CLK (0xA000)
    process(Addr)
    begin
        if (Addr = "1010") then
            F_CLK <= '0';
        else
            F_CLK <= '1';
        end if;
    end process;

    -- E_LATCH (0xA000)
    process(Addr)
    begin
        if (Addr = "1010") then
            E_LATCH <= '0';
        else
            E_LATCH <= '1';
        end if;
    end process;

    -- nCS_VM (0xB000)
    process(Addr)
    begin
        if (Addr = "1011") then
            nCS_VM <= '0';
        else
            nCS_VM <= '1';
        end if;
    end process;

    -- W3100A (0x8000 - 0x8FFF, 0xC000 - 0xFFFF)
    process(Addr)
    begin
        if ((Addr(3 downto 0) = "1000") or (Addr(3 downto 2) = "11")) then
            nCSW3100A <= '0';
        else
            nCSW3100A <= '1';
        end if;
    end process;

end arch_test;



Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
ЙаМайскЫйПчОЛ
  опции профиля:
сообщение 3.10.2008, 9:10
Сообщение #7


Участник
**

Группа: Участник
Сообщений: 154
Регистрация: 10.7.2008
Из: СПб
Пользователь №: 232

Спасибо сказали: 7 раз(а)




Репутация:   5  


Цитата(Litkevich Yuriy @ 3.10.2008, 0:24) *
1) Эискизирование схем (помоему ни одним редактором схем не реализован)

Поясни пожалуйста, что ты имеешь ввиду.
и это
Цитата(Litkevich Yuriy @ 3.10.2008, 0:24) *
7.2) Полное моделирование - Полузаказное ПО (у CADENSE есть всякие, ну а монстры пользуются заказным ПО)

Цитата(Litkevich Yuriy @ 3.10.2008, 0:24) *
как самостоятельное ПО

согласен.
Для разработки большей части из этих программ необходимо общение с несколькими грамотными проектировщиками.
Я надеюсь один у меня есть :yahoo: :praising:
Вытянуть одному всё - не получится! )))
К понедельнику постараюсь представить отчет о своих мыслях.

Одним из необходимейших элементов, как мне кажется, будет портирование библиотек элементов.
Не постесняюсь и буду использовать наработки других ОпенСорс проектов подобного рода.
Интерфейс пользователя будет проанализирован, составлю опросники закину на форумы электронщиком,
может кто поможет.

Сообщение отредактировал ЙаМайскЫйПчОЛ - 3.10.2008, 9:14
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
ЙаМайскЫйПчОЛ
  опции профиля:
сообщение 3.10.2008, 11:19
Сообщение #8


Участник
**

Группа: Участник
Сообщений: 154
Регистрация: 10.7.2008
Из: СПб
Пользователь №: 232

Спасибо сказали: 7 раз(а)




Репутация:   5  


Litkevich Yuriy, Скажи пожалуйста, ты какие-нибуть крупные сообщества разработчиков РЭА в нете знаешь?
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
Andrew Selivanov
  опции профиля:
сообщение 3.10.2008, 13:09
Сообщение #9


Участник
**

Группа: Участник
Сообщений: 249
Регистрация: 9.10.2007
Из: Москва
Пользователь №: 3

Спасибо сказали: 15 раз(а)




Репутация:   6  


Цитата(ЙаМайскЫйПчОЛ @ 2.10.2008, 22:58) *
Кто чем недоволен в существующих САПРах,
какими пользуется,
от каких блевать тошнит,
что хотим.?

Ну блевать тошнит от студенческих поделок (нет это не намек, это из личного опыта). IMHO проблема с этими сапрами в том, что каждый КАЖДЫЙ разработчик делает там все именно так как ему кажется правильным, это порождает несовместимость ничего ни с чем во первых, и необходимость индивидуального изучения каждого отдельного средства тьфу, проектантами. То есть нужны люди которые по-любому будут это использовать и давать регулярный feedback...

про тестирование
При глюке в САПРе баги будут прямо в изделии. Хорошо если это не самолет или спутник.

про симулятор
Это отдельная большая нетривиальная проблема для каждого конкретного САПРа, будь это тепловые задачи, деформация или еще что.

Короче IMHO чтобы добиться успеха с САПРом нужно решить:
а) кому это можно продать и есть мнение, что бажный самописный сапр пока как следует не намотает хорошую репутацию покупать люди не станут... тестироваться на живых юзерах может себе позволить только M$ :) все равно покупают...
б) где взять бабки, умножить эту сумму на 3-4 и подумать еще где взять бабки и продумать как вылазить если бабок не хватит.
в) отряд тестировщиков (пользователей)
г) отряд разработчиков рубящих в теме
д) ...

задолбался я писать, но может это поможет 8)
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение
Litkevich Yuriy
  опции профиля:
сообщение 3.10.2008, 14:09
Сообщение #10


разработчик РЭА
*******

Группа: Сомодератор
Сообщений: 9669
Регистрация: 9.1.2008
Из: Тюмень
Пользователь №: 64

Спасибо сказали: 807 раз(а)




Репутация:   94  


Цитата(ЙаМайскЫйПчОЛ @ 3.10.2008, 15:19) *
Скажи пожалуйста, ты какие-нибуть крупные сообщества разработчиков РЭА в нете знаешь?
Есть два больших:
Сахара (часто встречается фраза: ищите в песках)
Электроникс
Учитывай тот факт что это очень большие ресурсы, со всеми вытекающими последствиями.

В песках куча ломаного очень свежего софта, боротся с ними власти и монстры просто устали, линки на софт первому встречному не дают, все лежит по почтовым ящикам.
Отсюда проблема, им врятли нужен GPL'ьный софт и так есть все.

еще есть на форуме ixbt подраздел Электронные устройства и компоненты народу полно, но я не чествую, как впрочем и два других

Цитата(ЙаМайскЫйПчОЛ @ 3.10.2008, 13:10) *
Поясни пожалуйста, что ты имеешь ввиду.
по 1-му пункту, OrCAD позволяет модифицировать УГО на ходу без обновления в библиотеке компонентов. Это можно считать эскизированием, т.е. ты просто накидываешь на схему элементы, по их УГО если надо модифицируешь эти УГО.

по пункту 7.2. вопрос не понял.

по "как самостоятельное ПО" - например, редактор схем автономная программа, т.е. я могу ее отдельно установить на свою машину и создавать схемы. и так с каждой программой.
Развитие идеи:
Допустим есть некий стандарт взаимодействия такого рода програм.
И есть много фирм которые делают те или иные программы под этот стандарт, одни делают только редакторы схем, другие для моделирования работы схем. И пользователь выбирает те программы, которые он считает удобнее или доступнее по цене.
Перейти в начало страницы
 
Быстрая цитата+Цитировать сообщение

3 страниц V   1 2 3 >
Ответить в данную темуНачать новую тему
Теги
Нет тегов для показа


1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0




RSS Текстовая версия Сейчас: 28.3.2024, 17:41